aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth8/tb_test5.vhdl
blob: 14ef0660e17b41f5abe5928d24d291e13aff5b5c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
entity tb_test5 is
end tb_test5;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_test5 is
  signal r : std_logic_vector(7 downto 0);
begin
  dut: entity work.test5
    port map (r);

  process
  begin
    wait for 1 ns;
    assert r(7) = '1' severity failure;
    wait;
  end process;
end behav;