aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth60/testsuite.sh
blob: 54d500967a7b51a5aefa2f6a835d9541a898bc7e (plain)
1
2
3
4
5
6
7
#! /bin/sh

. ../../testenv.sh

synth leds.vhdl spin1.vhdl leds_wrapper.vhdl leds_wrapper_arch_entity_inst.vhdl -e > syn_leds.vhdl

echo "Test successful"