aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth60/leds_wrapper_arch_entity_inst.vhdl
blob: d7391da97a3e2a2c3cafd54a03414aeea160e49c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
architecture rtl_comp_inst of leds_wrapper is

begin

  leds_comp_inst : entity work.leds(spin1)
    port map(
      clk => clk,
      led1 => led1,
      led2 => led2,
      led3 => led3,
      led4 => led4,
      led5 => led5,
      led6 => led6,
      led7 => led7,
      led8 => led8
    );

end architecture;