aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth60/leds_wrapper_arch_comp_inst.vhdl
blob: 98b6c75a890d1e09e5ef7dc41eb238bb9ddec09f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
architecture rtl_comp_inst of leds_wrapper is

  component leds is
    port (clk : in std_logic;
          led1, led2, led3, led4, led5, led6, led7, led8 : out std_logic);
  end component;

begin

  leds_comp_inst : leds
    port map(
      clk => clk,
      led1 => led1,
      led2 => led2,
      led3 => led3,
      led4 => led4,
      led5 => led5,
      led6 => led6,
      led7 => led7,
      led8 => led8
    );

end architecture;