aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth60/leds_wrapper.vhdl
blob: ff351d34337f293f7a4af5b6ad5cdd2e3cf4306c (plain)
1
2
3
4
5
6
7
8
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity leds_wrapper is
  port (clk : in std_logic;
        led1, led2, led3, led4, led5, led6, led7, led8 : out std_logic);
end leds_wrapper;