aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth47/test3.vhdl
blob: 705a6fa2162dc06062fbf724eb1103a6999c979c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity test3 is
  port (val : out std_logic_vector (7 downto 0));
end entity test3;

architecture beh of test3 is
begin

  val <= "Z001101X";
end architecture beh;