aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth40/tb_testcase.vhdl
blob: 3ed89e61cef9a28ad0bfd4bd12b6e2099480a3ed (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity tb_testcase is
end tb_testcase;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_testcase is
  signal di : std_logic;
  signal do : std_logic;
begin
  dut: entity work.testcase
    port map (data_in => di, data_out => do);

  process
  begin
    di <= '1';
    wait for 1 ns;
    assert do = '0' severity failure;
    
    di <= '0';
    wait for 1 ns;
    assert do = '1' severity failure;
    
    wait;
  end process;
end behav;