aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth39/tb_record_test.vhdl
blob: 31db03d592e4aa6190114c6f05eb583f6a82f6b7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
entity tb_record_test is
end tb_record_test;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture behav of tb_record_test is
  signal clk      : std_logic;
  signal sl_in    : std_logic;
  signal slv_in   : std_logic_vector(7 downto 0);
  signal int_in   : integer range 0 to 15;
  signal usig_in  : unsigned(7 downto 0);
  signal sl_out   : std_logic;
  signal slv_out  : std_logic_vector(7 downto 0);
  signal int_out  : integer range 0 to 15;
  signal usig_out : unsigned(7 downto 0);
begin
  dut: entity work.record_test
    port map (
      clk      => clk,
      sl_in    => sl_in,
      slv_in   => slv_in,
      int_in   => int_in,
      usig_in  => usig_in,
      sl_out   => sl_out,
      slv_out  => slv_out,
      int_out  => int_out,
      usig_out => usig_out);

  process
  begin
    clk <= '0';
    sl_in <= '1';
    slv_in <= x"12";
    int_in <= 13;
    usig_in <= x"d5";

    wait for 1 ns;
    clk <= '1';
    wait for 1 ns;

    assert sl_out = '1' severity failure;
    assert slv_out = x"12" severity failure;
    assert int_out = 13 severity failure;
    assert usig_out = x"d5" severity failure;

    sl_in <= '0';
    slv_in <= x"9b";
    int_in <= 3;
    usig_in <= x"72";

    clk <= '0';
    wait for 1 ns;
    clk <= '1';
    wait for 1 ns;

    assert sl_out = '0' severity failure;
    assert slv_out = x"9b" severity failure;
    assert int_out = 3 severity failure;
    assert usig_out = x"72" severity failure;

    wait;
  end process;
end behav;