aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth12/tb_lut.vhdl
blob: f8f5cf3a6a37dc54ca860559a28b84f509313bef (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
entity tb_lut is
end tb_lut;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_lut is
  signal c : std_logic;
  signal s : std_logic_vector(1 downto 0);
begin
  dut: entity work.lut
    port map (s, c);

  process
  begin
    s <= "00";
    wait for 1 ns;
    assert c = '1' severity failure;

    s <= "01";
    wait for 1 ns;
    assert c = '0' severity failure;

    s <= "10";
    wait for 1 ns;
    assert c = '1' severity failure;

    s <= "11";
    wait for 1 ns;
    assert c = '0' severity failure;

    wait;
  end process;
end behav;