aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/synth104/testcase1.vhdl
blob: 256ee0959b581d046f7c75a5e686dba37805f380 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity testcase1 is
  port (
    sel : in unsigned(1 downto 0);
    det : out std_logic
    );
end testcase1;

architecture behavior of testcase1 is
begin
  tc: process(sel)
  begin
    case to_integer(sel) is
      when 0 to 1 =>
        det <= '0';
      when others =>
        det <= '1';
    end case;
  end process;
end behavior;