aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/sns01/exts.vhdl
blob: e7a82730a011c8b45b15aa4df5f014876c46d894 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.std_logic_1164.all;

entity exts is
  port (
    l3 : std_logic_vector (2 downto 0);

    ext_u3 : out std_logic_vector (4 downto 0);
    sxt_s3 : out std_logic_vector (4 downto 0));
end exts;

library ieee;
use ieee.std_logic_arith.all;

architecture behav of exts is
begin
  ext_u3 <= ext(l3, 5);
  sxt_s3 <= sxt(l3, 5);
end behav;