aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/psl02/assert2.vhdl
blob: 0286470c845c6943326350d9c812b7d328eb1e1a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity assert2 is
 port (clk, rst: std_logic;
       cnt : out unsigned(3 downto 0));
end assert2;

architecture behav of assert2 is
 signal val : unsigned (3 downto 0);
begin
 process(clk)
 begin
   if rising_edge(clk) then
     if rst = '1' then
       val <= (others => '0');
     else
       val <= val + 1;
     end if;
   end if;
 end process;
 cnt <= val;
end behav;