aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/psl01/hello.vhdl
blob: fcf5177573a5cc9516baa31b0e6b73ac82c65cd1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity hello is
 port (clk, rst: std_logic;
       cnt : out unsigned(3 downto 0));
end hello;

architecture behav of hello is
 signal val : unsigned (3 downto 0);
begin
 process(clk)
 begin
   if rising_edge(clk) then
     if rst = '1' then
       val <= (others => '0');
     else
       val <= val + 1;
     end if;
   end if;
 end process;
 cnt <= val;

 --psl default clock is clk;
 --psl restrict {rst; (not rst)[*]};
 assert val /= 5 or rst = '1' severity error;
end behav;