aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/pragma01/pragma01.vhdl
blob: 7994e80959d188a96d393b05a087106d4cdbb4ba (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity pragma01 is
  port (is_sim : out std_logic);
end pragma01;

architecture behav of pragma01 is
begin
  is_sim <= '0'
  -- pragma translate_off
       or '1'
  -- pragma translate_on
       ;
end behav;