aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/output01/output01.vhdl
blob: 1700bb53d62e68a8cbf0164939bfbb425e8ad505 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity output01 is
  port (i : std_logic;
        o : out std_logic_vector (1 downto 0));
end output01;

architecture behav of output01 is
begin
  o (0) <= i;
  o (1) <= not i;
end behav;