blob: d5a458034abaf4a0c4833de13487b67fbbc407ad (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
|
entity tb_max01 is
end tb_max01;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_max01 is
signal l, r : natural;
signal res : natural;
begin
max01_1: entity work.max01
port map (
a => l,
b => r,
o => res);
process
begin
l <= 12;
r <= 15;
wait for 1 ns;
assert res = 15 severity failure;
wait;
end process;
end behav;
|