aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/oper01/tb_snum01.vhdl
blob: 73d3255a1922cdabdb1453bffaf9db8edf8cef74 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity tb_snum01 is
end tb_snum01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_snum01 is
  signal r : boolean;
begin
  cmp01_1: entity work.snum01
    port map (r);

  process
  begin
    wait for 1 ns;
    assert r severity failure;

    wait;
  end process;
end behav;