aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/memmux01/tb_memmux07.vhdl
blob: 3efee65bcc835008c882d764267d163d37c3642f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
entity tb_memmux07 is
end tb_memmux07;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture behav of tb_memmux07 is
  signal ad : std_logic;
  signal val : std_logic_vector (1 downto 0);
  signal dat, res : std_logic_vector (7 downto 0);
begin
  dut : entity work.memmux07
    port map (
      ad => ad,
      val => val,
      dat => dat,
      res => res);

  process
  begin
    dat <= x"de";

    ad <= '0';
    val <= "00";
    wait for 1 ns;
    assert res = x"dc" severity failure;

    ad <= '1';
    val <= "00";
    wait for 1 ns;
    assert res = x"ce" severity failure;

    ad <= '0';
    val <= "01";
    wait for 1 ns;
    assert res = x"dd" severity failure;

    ad <= '0';
    val <= "10";
    wait for 1 ns;
    assert res = x"de" severity failure;

    ad <= '1';
    val <= "10";
    wait for 1 ns;
    assert res = x"ee" severity failure;

    wait;
  end process;
end behav;