aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem2d01/tb_dpram2w.vhdl
blob: 80850d92f54b519f1b4de0d71b3cee9f7f994f7e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
entity tb_dpram2w is
end tb_dpram2w;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_dpram2w is
  signal waddr : natural range 0 to 3;
  signal wnib : natural range 0 to 1;
  signal wdat : std_logic_vector (3 downto 0);
  signal raddr : natural range 0 to 3;
  signal rdat : std_logic_vector(7 downto 0);
  signal clk : std_logic;
begin
  dut: entity work.dpram2w
    port map (waddr => waddr, wnib => wnib, wdat => wdat,
              raddr => raddr, rdat => rdat,
              clk => clk);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    waddr <= 0;
    wnib <= 0;
    wdat <= x"0";
    raddr <= 1;
    pulse;

    waddr <= 0;
    wnib <= 1;
    wdat <= x"f";
    raddr <= 1;
    pulse;

    waddr <= 1;
    wnib <= 1;
    wdat <= x"e";
    raddr <= 0;
    pulse;
    assert rdat = x"f0" severity failure;

    waddr <= 1;
    wnib <= 0;
    wdat <= x"1";
    raddr <= 0;
    pulse;
    assert rdat = x"f0" severity failure;

    waddr <= 3;
    wnib <= 0;
    wdat <= x"3";
    raddr <= 1;
    pulse;
    assert rdat = x"e1" severity failure;

    waddr <= 3;
    wnib <= 1;
    wdat <= x"c";
    raddr <= 1;
    pulse;
    assert rdat = x"e1" severity failure;

    waddr <= 2;
    wnib <= 1;
    wdat <= x"d";
    raddr <= 3;
    pulse;
    assert rdat = x"c3" severity failure;

    waddr <= 2;
    wnib <= 0;
    wdat <= x"2";
    raddr <= 3;
    pulse;
    assert rdat = x"c3" severity failure;

    waddr <= 1;
    wnib <= 0;
    wdat <= x"1";
    raddr <= 2;
    pulse;
    assert rdat = x"d2" severity failure;

    wait;
  end process;
end behav;