aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/mem02/ram3.vhdl
blob: d67667c3e84de7f0ff26a632116db28479d9fedd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity ram3 is
  port (val : out std_logic_vector (7 downto 0);
        waddr : std_logic_vector (2 downto 0);
        wdat : std_logic;
        clk : std_logic);
end ram3;

architecture behav of ram3 is
  signal mem : std_logic_vector(7 downto 0);
begin
  process (clk)
    variable ra : natural;
    variable wa : natural;
  begin
    if rising_edge (clk) then
      ra := to_integer(unsigned (waddr));
      mem(ra) <= wdat;
    end if;
  end process;
  val <= mem;
end behav;