aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/match01/match02.vhdl
blob: f20904f0a67f58a8a969ceab1067f75cd1f54224 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;

entity match02 is
  port (a : in std_logic_vector (3 downto 0);
        z : out std_logic);
end match02;

architecture behav of match02 is
begin
  z <= a ?/= "1--0";
end behav;