aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue958/ent1.vhdl
blob: daf5e1e0c483cb7d0b3e1d5621594a1332374f40 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity ent1 is
end;

architecture a of ent1 is
    component c is
        port (
            p : in std_logic_vector(7 downto 0)
        );
    end component;
   signal s : std_logic_vector(7 downto 0);
begin
    inst: component c
        port map (
            p => s
        );
    s <= x"01";
end;