aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue958/ent.vhdl
blob: 8926ae6735c43ad1de37835fd4bb6a7f603dbec8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
library ieee;
use ieee.std_logic_1164.all;

entity ent is
end;

architecture a of ent is
    component c is
        port (
            p : in std_logic_vector(7 downto 0)
        );
    end component;
begin
    inst: component c
        port map (
            p => x"00"
        );
end;