aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue956/ent.vhdl
blob: eadfa24436cc1ab4e19faca75de46bec55b46a58 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
        i : in bit;
        o : out bit
    );
end;

architecture a of ent is
    signal test : std_logic_vector(0 to 7);
begin
    process(i)
    begin
        for x in test'low to test'high loop
        end loop;

        o <= i;
    end process;
end;