aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue955/tb_ent1.vhdl
blob: 9cb8bb6b47656d5f8b891838b6e225b5c5d0cafc (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
entity tb_ent1 is
end tb_ent1;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_ent1 is
  signal clk : std_logic;
  signal dout : std_logic_vector (7 downto 0);
begin
  dut: entity work.ent1
    port map (clk => clk, o => dout);

  process
    procedure pulse is
    begin
      clk <= '0';
      wait for 1 ns;
      clk <= '1';
      wait for 1 ns;
    end pulse;
  begin
    wait for 1 ns;
    assert dout = x"10" severity failure;

    pulse;
    assert dout = x"11" severity failure;

    pulse;
    assert dout = x"12" severity failure;

    pulse;
    assert dout = x"13" severity failure;

    pulse;
    assert dout = x"14" severity failure;

    pulse;
    assert dout = x"15" severity failure;

    pulse;
    assert dout = x"16" severity failure;

    pulse;
    assert dout = x"17" severity failure;

    pulse;
    assert dout = x"00" severity failure;

    pulse;
    assert dout = x"00" severity failure;

    wait;
  end process;
end behav;