aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue953/ent.vhdl
blob: d7457f4b87b34c09ebcad8fa22a4433871dcdd25 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all,
    ieee.numeric_std.all;

entity ent is
end;

architecture a of ent is
    signal x : unsigned(7 downto 0);
    signal y : unsigned(7 downto 0);
    signal z : unsigned(15 downto 0);
begin
    y <= x / 2;
    z <= x * 2;
end;