aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue946/ent.vhdl
blob: a63db29030602a1ededc39f67a373b1302d7f476 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity ent is
    port (
        i : in bit;
        o : out bit
    );
end;

architecture a of ent is
    signal test : std_logic_vector(7 downto 0);
    alias a : std_logic_vector(3 downto 0) is test(7 downto 4);
begin
    process(i)
    begin
        case a(1 downto 0) is
            when others =>
        end case;

        o <= i;
    end process;
end;