aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue945/syn_ent.vhdl
blob: 3477d23388a019e4fdc3d5a6fbec6d0318eaa61a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity ent is
end ent;

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

architecture rtl of ent is
  signal test : std_logic;
begin
  -- ent.vhdl:5:12
  test <= <unassigned>; -- (signal)
end rtl;