aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue944/ent.vhdl
blob: 88dd4f5313adaed02bddc34b772ca2db8ea5ad4e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

entity ent is
end;

architecture a of ent is
    function count_ones(vec : std_logic_vector) return natural is
        variable temp : natural := 0;
    begin
        for i in vec'range loop
            if vec(i) then
                temp := temp + 1;
            end if;
        end loop;

        return temp;
    end count_ones;

    constant test : natural := count_ones("10101");
begin
end;