aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2369/bug.vhdl
blob: df3e29787c4042c1e37b18eb3975f7229052b546 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
entity bug is
  port (clk, x, y : in bit; z : out bit);
end entity bug;

architecture RTL of bug is
begin

process (clk)
begin
  if rising_edge(clk) then
    z <= x when y else unaffected;
  end if;
end process;

end architecture RTL;