blob: 7abf79752d9f8293c1b1813af552c39a116a9fa3 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
|
library ieee;
use ieee.std_logic_1164.all;
entity afed is
port (
sig: in std_logic;
ack: in std_logic;
fe: out std_logic
);
end entity;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
architecture rtl of afed is
signal fe_h : std_logic;
signal fe_l : std_logic;
signal n4_o : std_logic;
signal n9_o : std_logic;
signal n10_o : std_logic;
begin
fe <= fe_l;
n4_o <= fe_h when sig = '0' else '1';
fe_h <= n4_o when ack = '0' else '0';
n9_o <= (not sig) and fe_h; -- 0
n10_o <= fe_l when n9_o = '0' else '1';
fe_l <= n10_o when ack = '0' else '0';
end rtl;
|