aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2023/abs_square.vhd
blob: 85647401fe9d83f277e626afa78d21ca09432295 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

library work;

entity abs_square is
  port (
    reset : in std_logic;
    clk : in std_logic;

    din_i : in std_logic_vector(7 downto 0);
    din_q : in std_logic_vector(7 downto 0);
    din_valid : in std_logic;
    din_mark : in std_logic_vector;

    dout_i : out std_logic_vector(7 downto 0);
    dout_q : out std_logic_vector(7 downto 0);
    dout_valid : out std_logic;
    dout_pow_sq : out std_logic_vector(15 downto 0);
    dout_mark : out std_logic_vector
  );
end abs_square;

architecture rtl of abs_square is

  constant COMP_DELAY : natural := 3; -- wrong!

  signal din_i_r : std_logic_vector(7 downto 0);
  signal din_q_r : std_logic_vector(7 downto 0);

  signal din_i_rr : std_logic_vector(7 downto 0);
  signal din_q_rr : std_logic_vector(7 downto 0);
  signal din_i_sq_rr : signed(15 downto 0);

  signal din_i_rrr : std_logic_vector(7 downto 0);
  signal din_q_rrr : std_logic_vector(7 downto 0);
  signal din_i_sq_rrr : signed(15 downto 0);
  signal din_q_sq_rrr : signed(15 downto 0);

begin
  process (clk) begin
    if rising_edge(clk) then
      din_i_r <= din_i;
      din_q_r <= din_q;
    end if;
  end process;

  process (clk) begin
    if rising_edge(clk) then
      din_i_rr <= din_i_r;
      din_q_rr <= din_q_r;
      din_i_sq_rr <= signed(din_i_r) * signed(din_i_r);
    end if;
  end process;

  process (clk) begin
    if rising_edge(clk) then
      din_i_rrr <= din_i_rr;
      din_q_rrr <= din_q_rr;
      din_i_sq_rrr <= din_i_sq_rr;
      din_q_sq_rrr <= signed(din_q_rr) * signed(din_q_rr);
    end if;
  end process;

  process (clk) begin
    if rising_edge(clk) then
      dout_i <= din_i_rrr;
      dout_q <= din_q_rrr;
      dout_pow_sq <= std_logic_vector(din_i_sq_rrr + din_q_sq_rrr);
    end if;
  end process;

  dv : entity work.delay_vector
    generic map
    (
      DELAY => 4
    )
    port map
    (
      clk => clk,
      clken => '1',
      d => din_mark,
      q => dout_mark
    );

  db : entity work.delay_bit
    generic map
    (
      DELAY => 4
    )
    port map
    (
      clk => clk,
      clken => '1',
      d => din_valid,
      q => dout_valid
    );
end rtl;