aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue2013/tb_tc2.vhdl
blob: 8c58b205427c539c1487ac09c70e2b2c685b509d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
entity tb_tc2 is
end tb_tc2;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_tc2 is
  signal state : std_ulogic;
  signal class : std_ulogic;
  signal o     : std_ulogic_vector(3 downto 0);
begin
  dut: entity work.tc2
    port map (state, class, o);

  process
  begin
    state <= '0';
    class <= '0';
    wait for 1 ns;
    assert o = "0111";

    state <= '1';
    class <= '0';
    wait for 1 ns;
    assert o = "1000";

    state <= '1';
    class <= '1';
    wait for 1 ns;
    assert o = "1000";

    wait;
  end process;
end behav;