aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1968/dummy.vhdl
blob: 2aa42e172c04aa77665cd8fc5f622afe958b2cc3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.all;
use work.dummy_pkg.all;

entity dummy is
    port (
        signal A_i : in std_logic_vector(31 downto 0);
        signal B_i : in std_logic_vector(31 downto 0);
        signal C_i : in std_logic_vector(31 downto 0);
        signal o : out std_logic_vector(31 downto 0)
    );
end dummy;

architecture rtl of dummy is
begin

--    this_works(A_i, B_i, C_i, o);

    this_doesnt_work(A_i, B_i, C_i, o);

end rtl;