aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1951/sub03.vhdl
blob: 10e74304728ca8905fc2b19edb4f0663d40de66d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity sub03 is
  port (i : std_logic_vector (3 downto 0);
        o : out std_logic_vector (3 downto 0));
end entity;

architecture arch of sub03 is
begin
  o <= i - (-8);
end arch;