aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1951/sub02.vhdl
blob: ebe9be1f95f768e1162907a91932b8a60939b420 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity sub02 is
  port (i : std_logic_vector (3 downto 0);
        o : out std_logic_vector (3 downto 0));
end entity;

architecture arch of sub02 is
begin
  o <= i - (-7);
end arch;