aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1951/sub01.vhdl
blob: 3b09d23dccbb4dc746b5e54d7d79b4573703055f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity sub01 is
  port (i : std_logic_vector (3 downto 0);
        o : out std_logic_vector (3 downto 0));
end entity;

architecture arch of sub01 is
begin
  o <= i - (-1);
end arch;