aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1951/ent.vhdl
blob: 07e24320f8de89ca0a5f2a5475d95eeb2a189253 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity ent is
end entity;

architecture a of ent is

signal scale_product_twice_biased :  std_logic_vector(3 downto 0);
signal shift_value :  std_logic_vector(3 downto 0);

begin

   shift_value <= (scale_product_twice_biased) - (-1);

--  process begin
--    report "Hello world" severity note;
--    wait;
--  end process;
end;