aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1945/tb_alias02.vhdl
blob: ccc2ee17f3c769035c3fc8f70e154acb26c9c13b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity tb_alias02 is
end tb_alias02;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_alias02 is
  signal o : std_logic_vector(7 downto 0);
  signal i : std_logic;
begin
  dut: entity work.alias02
    port map (i => i, o => o);

  process
  begin
    i <= '1';
    wait for 1 ns;
    assert o = x"ff" severity failure;

    i <= '0';
    wait for 1 ns;
    assert o = x"c3" severity failure;

    wait;
  end process;
end behav;