aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1945/tb_alias01.vhdl
blob: 905a67a48b334119b187ac4072d694977b05c5c1 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity tb_alias01 is
end tb_alias01;

library ieee;
use ieee.std_logic_1164.all;

architecture behav of tb_alias01 is
  signal i : std_logic_vector(7 downto 0);
  signal o : std_logic;
begin
  dut: entity work.alias01
    port map (i, o);

  process
  begin
    i <= "11000011";
    wait for 1 ns;
    assert o = '1' severity failure;

    i <= "11100011";
    wait for 1 ns;
    assert o = '0' severity failure;

    wait;
  end process;
end behav;