aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1942/ent.vhdl
blob: e6c7ada0a57260188b5f8981b2c5a7a07bfead88 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
package gen_pkg is
   generic (N : integer);
end gen_pkg;

entity test is
end entity test;

architecture simple of test is
   package pkg is new work.gen_pkg generic map (N => 1);

   use pkg.all;
begin
end architecture simple;