aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1731/ent.vhdl
blob: 8170f1f86e9d4cbe35692d444fe889690185adf8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee;
context ieee.ieee_std_context;

entity ent is
  port (
    dsin  : in signed(15 downto 0);
    dsout : out signed(31 downto 0);
    duin  : in unsigned(15 downto 0);
    duout : out unsigned(31 downto 0)
  );
end;

architecture arch of ent is

begin

  dsout <= resize(signed(dsin), dsout);
  duout <= resize(unsigned(duin), duout);

end architecture;