aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1679/test_fail.vhdl
blob: 1b6cbf5208673bcb750972b96f51fa513ca1a6d9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test_fail is
  port(
    value_i: in std_ulogic_vector(7 downto 0);
    matches_o: out std_ulogic
    );
end entity;

architecture beh of test_fail is

  subtype data_t is std_ulogic_vector(7 downto 0);
  signal value_s : data_t;
  constant expected_c : data_t := "10001---";

begin

  value_s <= value_i;
  matches_o <= '1' when std_match(value_s, expected_c) else '0';

end architecture;