aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1665/test.vhdl
blob: 3591e23c71c07eede44cc461d29b7ef5d48dff27 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
library ieee;
use ieee.fixed_pkg.all;
use ieee.math_real.all;

entity test is
end entity test;

architecture synthesis of test is
  constant test1 : real := (2.0**6); -- works
  constant test2 : real := (2.0**6.0); -- unhandled predefined IEEE operator "**"
  constant test3 : real := arctan(2.0);  -- unhandled call to ieee function "arctan"
  signal a : sfixed(7 downto 0);
begin
a <= to_sfixed(test2, a);
  assert test2 = 64.0 severity failure;
end architecture synthesis;