blob: 06a6260d7ffb150f88a7299f4f15de52ba9bebf4 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
|
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.numeric_std.ALL;
entity exp is
port (
clk : in std_logic
);
end entity exp;
architecture behav of exp is
signal any_nat : natural;
attribute anyconst : boolean;
attribute anyconst of any_nat : signal is true;
begin
default Clock is rising_edge(clk);
assume always any_nat >=10;
assert always any_nat >=10;
end architecture behav;
|