aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1609/exp_psl.vhdl
blob: 58558a0a07b93d108147971392fdc29c02f6a0c5 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library IEEE;
  use IEEE.std_logic_1164.ALL;
  use IEEE.numeric_std.ALL;

entity exp is
  port ( clk : in std_logic );
end entity exp;

architecture behav of exp is
begin
end architecture behav;
vunit exp_formal (exp(behav))
{
    signal any_nat : natural;

    attribute anyconst : boolean;
    attribute anyconst of any_nat : signal is true;

    default Clock is rising_edge(clk);

    assume always any_nat >=10;
    assert always any_nat >=10;
}