aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1596/ent_working.vhdl
blob: d311b7d764362cfa4bab1ec8e2e55317915757ac (plain)
1
2
3
4
5
6
7
8
9
10
11
12
library ieee;
use ieee.std_logic_1164.all;
use work.v;

-- TOP WORKING ---
entity ent_working is end;
architecture RTL of ent_working is
	signal a : std_logic_vector(3 downto 0) := "0101";
begin
	inst_v : entity v
	port map (input => a);
end;