blob: 62b58983d1f2bfbf3a51da1b8f5fe865af49bc5a (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
|
entity tb_bug is
end tb_bug;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of tb_bug is
signal clk : std_logic;
signal o : std_logic;
begin
dut: entity work.bug
port map (clk, o);
process
begin
clk <= '0';
wait for 1 ns;
assert o = 'U' severity failure;
clk <= '1';
wait for 1 ns;
assert o = '0' severity failure;
wait;
end process;
end behav;
|