aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1537/ent1.vhdl
blob: 4a0f8433dad689261914f9fb4834849bd88a9c81 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library ieee;
use ieee.std_logic_1164.all;

entity ent1 is
    port (
        o: out std_ulogic
    );
end entity;

architecture arch of ent1 is
begin
    o <= to_X01(std_ulogic' ('H'));
end architecture;