aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/synth/issue1536/ent1.vhdl
blob: e3f811006376daee531886747a6387f4b1f54bcd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;
use ieee.std_logic_1164.all;

entity ent1 is
    port (
        i: in bit;
        o: out std_ulogic
    );
end entity;

architecture arch of ent1 is
begin
    o <= to_stdulogic(i);
end architecture;